summaryrefslogtreecommitdiff
path: root/hw/vga_int.h
diff options
context:
space:
mode:
Diffstat (limited to 'hw/vga_int.h')
-rw-r--r--hw/vga_int.h2
1 files changed, 2 insertions, 0 deletions
diff --git a/hw/vga_int.h b/hw/vga_int.h
index 2e7fb30efb..621268de4c 100644
--- a/hw/vga_int.h
+++ b/hw/vga_int.h
@@ -78,6 +78,8 @@
uint8_t *vram_ptr; \
unsigned long vram_offset; \
unsigned int vram_size; \
+ unsigned long bios_offset; \
+ unsigned int bios_size; \
uint32_t latch; \
uint8_t sr_index; \
uint8_t sr[256]; \